quartus|利用quartus ii进行IP核的调用

【quartus|利用quartus ii进行IP核的调用】以调用乘法器IP核为例进行说明。
首先应当新建一个工程
quartus|利用quartus ii进行IP核的调用
文章图片

进入到工程设置 设置好工程路径与工程名称
quartus|利用quartus ii进行IP核的调用
文章图片

没有文件的话,这里可以先不添加。直接点击next;
quartus|利用quartus ii进行IP核的调用
文章图片

进行器件的选择
quartus|利用quartus ii进行IP核的调用
文章图片

点击next
quartus|利用quartus ii进行IP核的调用
文章图片

继续点击next,进入到下一步
quartus|利用quartus ii进行IP核的调用
文章图片

点击finish完成工程设置。
(在这一步可以通过新建verilog文件加入自己的设计文件)
接着就是IP核调用环节啦
点击tools–Megawizard Plug-In manager(魔法棒)
quartus|利用quartus ii进行IP核的调用
文章图片

点击next进入到IP核库,这里选择LPM_MULT,一定记得给自己的输出文件命名哦~~
quartus|利用quartus ii进行IP核的调用
文章图片

设置好输入数据的位宽,这里均为8bits,左下角会显示所使用的资源数量
quartus|利用quartus ii进行IP核的调用
文章图片
quartus|利用quartus ii进行IP核的调用
文章图片

选择是否插入流水线,由于所调用IP本身没有时钟,这里不可以插入流水线,否则后续编译会报错的~
quartus|利用quartus ii进行IP核的调用
文章图片

这里选择输出文件类型
quartus|利用quartus ii进行IP核的调用
文章图片

到这里就完成8bits乘法器IP核的调用啦~~

    推荐阅读